142427562 ib

Xov xwm

Ib puag ncig rhiab heev thiab tsis ua haujlwm hom ntawm cov khoom siv hluav taws xob tsis ua haujlwm

Hauv daim ntawv no, cov qauv kev ua tsis tiav thiab cov txheej txheem tsis ua haujlwm ntawm cov khoom siv hluav taws xob tau kawm thiab lawv qhov chaw muaj kev cuam tshuam tau muab los muab qee qhov kev siv rau kev tsim cov khoom siv hluav taws xob.
1. Hom kev tivthaiv tsis ua haujlwm hom
Serial tooj
Lub npe khoom siv hluav taws xob
Environment-related failure modes
Ib puag ncig kev nyuaj siab

1. Electromechanical Cheebtsam
Kev vibration ua rau qaug zog tawg ntawm coils thiab loosening ntawm cables.
Kev vibration, poob siab

2. Semiconductor microwave li
Kev kub siab thiab kub siab ua rau delamination ntawm qhov sib cuam tshuam ntawm cov khoom ntim thiab cov nti, thiab nruab nrab ntawm cov khoom siv pob thiab cov nti tuav interface ntawm cov yas-sealed microwave monolith.
Kub kub, kub poob siab

3. Hybrid integrated circuits
Kev poob siab ua rau ceramic substrate tawg, kub poob siab ua rau capacitor kawg electrode tawg, thiab kub cycling ua rau solder tsis ua hauj lwm.
Kev poob siab, kub lub voj voog

4. Discrete Devices thiab Integrated Circuits
Thermal breakdown, chip soldering tsis ua hauj lwm, puab cov hlau lead bonding tsis ua hauj lwm, poob siab ua rau passivation txheej rupture.
Kub kub, poob siab, kev co

5. Cov khoom tiv thaiv
Core substrate rupture, resistive zaj duab xis rupture, lead breakage
Kev poob siab, qhov kub thiab txias

6. Board theem Circuit Court

Kub kub

7. Lub tshuab nqus tsev hluav taws xob
Kev qaug zog tawg ntawm cov hlau kub.
Kev vibration
2, feem ntau tsis ua hauj lwm mechanism tsom xam
Hom kev ua tsis tiav ntawm cov khoom siv hluav taws xob tsis yog ib qho, tsuas yog ib feem ntawm cov khoom siv sib xyaw ua ke rhiab ib puag ncig tiv thaiv kev txwv kev ntsuas, txhawm rau kom tau txais kev txiav txim siab dav dav.
2.1 Electromechanical Cheebtsam
Cov khoom siv hluav taws xob zoo xws li cov khoom siv hluav taws xob sib txuas, cov khoom siv hluav taws xob, thiab lwm yam. Cov hom kev ua haujlwm tsis ua haujlwm tau txheeb xyuas qhov tob nrog cov qauv ntawm ob hom ntawm cov khoom sib txuas.

1) Hluav taws xob connectors
Hluav taws xob connector los ntawm lub plhaub, insulator thiab kev sib cuag lub cev ntawm peb lub hauv paus units, hom tsis ua hauj lwm yog summarized nyob rau hauv kev sib cuag tsis ua hauj lwm, rwb thaiv tsev tsis ua hauj lwm thiab mechanical tsis ua hauj lwm ntawm peb hom tsis ua hauj lwm.Daim ntawv tseem ceeb ntawm kev tsis ua haujlwm ntawm cov hluav taws xob sib txuas rau kev sib cuag tsis ua haujlwm, qhov tsis ua haujlwm ntawm nws qhov kev ua tau zoo: kev sib cuag ntawm qhov tam sim ntawd tawg thiab tiv thaiv kev tiv thaiv nce.Rau cov khoom siv hluav taws xob, vim muaj kev tiv thaiv kev tiv thaiv thiab cov khoom siv hluav taws xob tiv thaiv, thaum muaj qhov tam sim no ntws los ntawm cov khoom siv hluav taws xob, kev tiv thaiv kev tiv thaiv thiab cov khoom siv hlau cov khoom siv hluav taws xob tiv thaiv yuav ua rau Joule tshav kub, Joule tshav kub yuav ua kom sov, uas ua rau muaj kev nce hauv cov khoom siv hluav taws xob. Qhov kub thiab txias ntawm qhov chaw sib cuag, qhov kub ntawm qhov kub thiab txias heev yuav ua rau qhov sib cuag ntawm cov hlau softening, melting los yog boiling, tab sis kuj ua rau kom qhov kev sib cuag, yog li ua rau kev sib cuag tsis ua hauj lwm..Nyob rau hauv lub luag hauj lwm ntawm qhov kub thiab txias ib puag ncig, qhov chaw tiv tauj kuj yuav tshwm sim creep phenomenon, ua rau kev sib cuag siab ntawm qhov chaw sib cuag yuav txo qis.Thaum qhov kev sib cuag raug txo qis rau qee qhov, qhov kev tiv thaiv kev sib cuag yuav nce siab, thiab thaum kawg ua rau kev sib cuag hluav taws xob tsis zoo, ua rau kev sib cuag tsis tau.

Ntawm qhov tod tes, cov khoom siv hluav taws xob sib txuas hauv kev khaws cia, kev thauj mus los thiab kev ua haujlwm, yuav raug rau ntau yam kev vibration loads thiab cuam tshuam lub zog, thaum sab nraud kev co load excitation zaus thiab hluav taws xob connectors ze rau qhov inherent zaus, yuav ua rau cov hluav taws xob connector resonance. Qhov tshwm sim, uas ua rau qhov sib txawv ntawm cov khoom sib cuag tau loj dua, qhov sib txawv nce mus rau ib qho twg, qhov kev sib cuag siab yuav ploj mus sai sai, ua rau hluav taws xob tiv tauj "sab tam sim".Hauv kev vibration, kev poob siab, cov khoom siv hluav taws xob yuav tsim kev ntxhov siab sab hauv, thaum qhov kev ntxhov siab tshaj qhov tawm los ntawm lub zog ntawm cov khoom, yuav ua rau cov khoom puas thiab puas;nyob rau hauv lub luag hauj lwm ntawm qhov kev ntxhov siab mus ntev, cov khoom siv kuj yuav tshwm sim qaug zog puas tsuaj, thiab thaum kawg ua rau tsis ua hauj lwm.

2) Relay
Electromagnetic relays feem ntau yog tsim los ntawm cores, coils, armatures, hu, reeds thiab lwm yam.Tsuav ib qho hluav taws xob ntxiv rau ob qho kawg ntawm lub kauj, qee qhov tam sim no yuav ntws hauv lub kauj, yog li tsim cov nyhuv electromagnetic, lub armature yuav kov yeej lub zog hluav taws xob ntawm kev nyiam kom rov qab mus rau lub caij nplooj ntoos hlav rub mus rau lub hauv paus, uas. nyob rau hauv lem drives lub armature tsiv hu thiab zoo li qub hu (feem ntau qhib hu) kaw.Thaum lub coil yog powered tawm, lub electromagnetic suction quab yuam kuj ploj mus, lub armature yuav rov qab mus rau qhov qub txoj hauj lwm nyob rau hauv cov tshuaj tiv thaiv quab yuam ntawm lub caij nplooj ntoos hlav, thiaj li hais tias tus tsiv hu thiab tus qub static contact (ib txwm kaw hu) suction.Qhov no suction thiab tso tawm, yog li ua tiav lub hom phiaj ntawm conduction thiab txiav tawm hauv Circuit Court.
Cov hom tseem ceeb ntawm tag nrho cov tsis ua hauj lwm ntawm electromagnetic relays yog: relay nquag qhib, relay nquag kaw, relay dynamic caij nplooj ntoos hlav ua tsis tau raws li qhov yuav tsum tau, tiv tauj kaw tom qab relay hluav taws xob tsis pub dhau cov neeg pluag.Vim tias qhov tsis txaus ntawm cov txheej txheem electromagnetic relay ntau lawm, ntau lub tshuab hluav taws xob relay tsis ua hauj lwm hauv cov txheej txheem tsim khoom los nteg qhov zoo ntawm qhov tsis txaus ntseeg, xws li lub sijhawm kev ntxhov siab ntawm cov neeg kho tshuab yog luv luv uas ua rau cov qauv siv tshuab tom qab molding qhov deformation, qhov seem tshem tawm tsis tas. ua rau PIND xeem ua tsis tau tejyam los yog tsis ua hauj lwm, lub Hoobkas kuaj thiab kev siv tshuaj ntsuam xyuas tsis nruj kom tsis ua hauj lwm ntawm cov cuab yeej siv, thiab lwm yam.. Qhov kev cuam tshuam ib puag ncig yuav ua rau cov yas deformation ntawm cov hlau sib txuas, uas ua rau relay tsis ua hauj lwm.Hauv kev tsim cov khoom siv uas muaj cov relays, nws yog ib qho tsim nyog yuav tsum tsom mus rau qhov cuam tshuam ib puag ncig adaptability los xav txog.

2.2 Semiconductor microwave Cheebtsam
Cov khoom siv microwave semiconductor yog cov khoom tsim los ntawm Ge, Si thiab III ~ V cov khoom siv semiconductor uas ua haujlwm hauv lub tshuab microwave.Lawv tau siv hauv cov khoom siv hluav taws xob xws li radar, hluav taws xob ua tsov rog thiab microwave kev sib txuas lus.Microwave discrete ntaus ntawv ntim ntxiv rau kev muab kev sib txuas hluav taws xob thiab kev tiv thaiv kev siv tshuab thiab tshuaj lom neeg rau cov tub ntxhais thiab cov pins, kev tsim thiab xaiv cov vaj tsev yuav tsum tau xav txog qhov cuam tshuam ntawm cov vaj tse tsis muaj kab mob ntawm lub microwave kis tus yam ntxwv ntawm lub cuab yeej.Lub tsev nyob hauv microwave kuj yog ib feem ntawm lub voj voog, uas nws tus kheej ua kom tiav cov tswv yim thiab cov khoom tawm.Yog li ntawd, cov duab thiab cov qauv ntawm lub tsev, qhov loj me, cov khoom siv dielectric, tus neeg xyuas pib teeb tsa, thiab lwm yam yuav tsum ua kom haum rau cov yam ntxwv ntawm lub microwave ntawm cov khoom thiab cov ntawv thov hauv Circuit Court.Cov yam ntxwv no txiav txim siab qhov tsis xws li kev siv hluav taws xob, hluav taws xob ua haujlwm, cov yam ntxwv impedance, thiab tus neeg xyuas pib thiab dielectric poob ntawm lub raj vaj tse.

Environmentally cuam tshuam tsis ua hauj lwm hom thiab mechanisms ntawm microwave semiconductor Cheebtsam feem ntau muaj xws li rooj vag hlau dab dej thiab degradation ntawm resistive zog.Gate hlau dab dej yog vim lub thermally ceev diffusion ntawm rooj vag hlau (Au) rau hauv GaAs, yog li no tsis ua hauj lwm mechanism tshwm sim thaum lub sij hawm ceev lub neej xeem los yog tsis tshua muaj kub ua hauj lwm.Tus nqi ntawm lub rooj vag hlau (Au) diffusion rau hauv GaAs yog qhov ua haujlwm ntawm qhov sib txawv ntawm cov khoom siv hlau, qhov kub thiab txias, thiab cov khoom siv concentration gradient.Rau cov qauv lattice zoo meej, kev ua haujlwm ntawm cov cuab yeej tsis cuam tshuam los ntawm qhov qeeb qeeb ntawm kev ua haujlwm kub, txawm li cas los xij, tus nqi diffusion tuaj yeem yog qhov tseem ceeb thaum thaj tsam thaj tsam loj lossis muaj ntau qhov chaw tsis xws luag.Resistors feem ntau yog siv nyob rau hauv microwave monolithic integrated circuits rau kev tawm tswv yim circuits, teeb tsa qhov kev tsis ncaj ncees ntawm cov khoom siv, kev sib cais, kev sib txuas hluav taws xob lossis qhov kawg ntawm kev sib txuas, muaj ob hom kev tiv thaiv: hlau zaj duab xis kuj (TaN, NiCr) thiab maj mam doped GaAs. nyias txheej kuj.Kev ntsuam xyuas qhia tau hais tias qhov degradation ntawm NiCr kuj tshwm sim los ntawm cov av noo yog lub ntsiab mechanism ntawm nws tsis ua hauj lwm.

2.3 Hybrid integrated circuits
Ib txwm hybrid integrated circuits, raws li lub substrate nto ntawm tuab zaj duab xis qhia daim kab xev, nyias zaj duab xis qhia daim kab xev txheej txheem muab faib ua ob pawg ntawm tuab zaj duab xis hybrid integrated circuits thiab nyias zaj duab xis hybrid integrated circuits: tej yam me me luam Circuit Court board (PCB) Circuit Court, vim cov luam tawm Circuit Court yog nyob rau hauv daim ntawv ntawm zaj duab xis nyob rau hauv lub tiaj board nto los ua ib tug conductive qauv, kuj muab cais raws li ib tug hybrid integrated circuits.Nrog rau qhov tshwm sim ntawm ntau cov khoom siv chips no advanced hybrid integrated circuit, nws cov substrate tshwj xeeb ntau txheej thaiv cov qauv thiab cov txheej txheem los ntawm lub qhov, tau ua rau cov khoom siv los ua ib qho hybrid integrated circuit hauv high-density interconnect structure synonymous nrog cov substrate siv. nyob rau hauv multi-chip Cheebtsam thiab xws li: nyias zaj duab xis multilayer, tuab zaj duab xis multilayer, high-temperature co-fired, low-temperature co-fired, silicon-based, PCB multilayer substrate, thiab lwm yam.

Hybrid integrated circuit ib puag ncig kev nyuaj siab tsis ua hauj lwm hom feem ntau muaj xws li hluav taws xob qhib Circuit Court tsis ua hauj lwm los ntawm substrate cracking thiab vuam tsis ua hauj lwm ntawm Cheebtsam thiab tuab zaj duab xis conductors, Cheebtsam thiab nyias zaj duab xis conductors, substrate thiab vaj tse.Kev cuam tshuam los ntawm cov khoom poob, thermal poob siab los ntawm kev ua haujlwm soldering, kev ntxhov siab ntxiv los ntawm substrate warpage unevenness, lateral tensile stress los ntawm thermal mismatch ntawm substrate thiab hlau vaj tse thiab cov khoom sib txuas, mechanical stress los yog thermal stress concentration tshwm sim los ntawm sab hauv tsis xws luag ntawm substrate, muaj peev xwm puas. tshwm sim los ntawm substrate drilling thiab substrate txiav hauv zos micro kab nrib pleb, nws thiaj li ua rau sab nraud mechanical kev nyuaj siab ntau dua li cov neeg kho tshuab lub zog ntawm ceramic substrate uas qhov tshwm sim yog tsis ua hauj lwm.

Cov txheej txheem solder muaj qhov cuam tshuam rau qhov kub thiab txias ntawm kev sib tw, uas tuaj yeem ua rau thermal qaug zog ntawm cov txheej txheem solder, uas ua rau txo qis kev sib txuas ntawm lub zog thiab ua kom muaj zog thermal.Rau tin-raws li chav kawm ntawm ductile solder, lub luag hauj lwm ntawm kub cyclic kev nyuaj siab ua rau thermal qaug zog ntawm lub solder txheej yog vim lub thermal expansion coefficient ntawm ob lub qauv txuas los ntawm cov solder yog inconsistent, yog lub solder displacement deformation los yog shear deformation, Tom qab ntau zaus, cov txheej txheem solder nrog qaug zog tawg nthuav dav thiab txuas ntxiv, nws thiaj li ua rau qaug zog tsis ua haujlwm ntawm cov txheej txheem solder.
2.4 Discrete pab kiag li lawm thiab integrated circuits
Semiconductor discrete li muab faib ua diodes, bipolar transistors, MOS field effect tubes, thyristors thiab insulated gate bipolar transistors los ntawm ntau pawg.Integrated circuits muaj ntau yam kev siv thiab tuaj yeem muab faib ua peb pawg raws li lawv txoj haujlwm, xws li digital integrated circuits, analog integrated circuits thiab mix digital-analog integrated circuits.

1) Cov khoom siv tsis sib xws
Cov khoom siv tsis sib xws yog ntau hom thiab muaj lawv tus kheej tshwj xeeb vim lawv cov haujlwm sib txawv thiab cov txheej txheem, nrog rau qhov sib txawv tseem ceeb hauv kev ua haujlwm tsis ua haujlwm.Txawm li cas los xij, raws li cov khoom siv yooj yim tsim los ntawm cov txheej txheem semiconductor, muaj qee yam zoo sib xws hauv lawv lub cev tsis ua haujlwm.Lub ntsiab tsis ua hauj lwm cuam tshuam nrog rau sab nraud mechanics thiab ib puag ncig ntuj yog thermal tawg, dynamic avalanche, chip soldering tsis ua hauj lwm thiab sab hauv cov hlau lead bonding tsis ua hauj lwm.

Thermal breakdown: Thermal breakdown los yog lwm yam kev puas tsuaj yog lub ntsiab tsis ua hauj lwm mechanism cuam tshuam rau semiconductor fais fab Cheebtsam, thiab feem ntau ntawm cov kev puas tsuaj thaum siv yog muaj feem xyuam rau qhov thib ob tawg tshwm sim.Secondary kev puas tsuaj yog muab faib ua rau pem hauv ntej bias theem nrab kev puas tsuaj thiab thim rov qab bias thib ob kev puas tsuaj.Cov qub yog feem ntau cuam tshuam nrog cov cuab yeej ntawm tus kheej thermal, xws li cov cuab yeej doping concentration, intrinsic concentration, thiab lwm yam, thaum lub sij hawm tom kawg yog cuam tshuam nrog cov avalanche sib npaug ntawm cov neeg nqa khoom hauv thaj chaw them nqi (xws li nyob ze ntawm tus sau), ob qho tib si. ntawm cov uas ib txwm nrog los ntawm cov concentration ntawm tam sim no nyob rau hauv lub ntaus ntawv.Nyob rau hauv daim ntawv thov ntawm xws li Cheebtsam, tshwj xeeb yuav tsum tau them rau thermal tiv thaiv thiab tshav kub dissipation.

Dynamic avalanche: Thaum lub sij hawm dynamic kaw vim yog sab nraud lossis sab hauv rog, tam sim no-tswj kev sib tsoo ionization tshwm sim uas tshwm sim hauv cov cuab yeej cuam tshuam los ntawm cov neeg nqa khoom pub dawb ua rau muaj kev kub ntxhov, uas tuaj yeem tshwm sim hauv cov khoom siv bipolar, diodes thiab IGBTs.

Chip solder tsis ua hauj lwm: Lub ntsiab yog vim li cas yog cov nti thiab cov solder yog cov khoom sib txawv nrog sib txawv coefficients ntawm thermal expansion, yog li muaj thermal mismatch ntawm qhov kub thiab txias.Tsis tas li ntawd, lub xub ntiag ntawm cov voids solder ua rau lub thermal tsis kam ntawm lub cuab yeej, ua kom cov cua sov dissipation tsis zoo thiab ua rau kub kub hauv cheeb tsam, nce qhov kub thiab txias thiab ua rau muaj kev kub ntxhov xws li electromigration tshwm sim.

Inner lead bonding tsis ua hauj lwm: feem ntau yog corrosion tsis ua hauj lwm nyob rau hauv lub bonding point, tshwm sim los ntawm corrosion ntawm txhuas los ntawm kev ua ntawm dej vapor, chlorine ntsiab, thiab lwm yam nyob rau hauv ib tug kub thiab ntub dej ntsev tsuag ib puag ncig.Kev qaug zog tawg ntawm aluminium bonding ua los ntawm qhov kub thiab txias los yog kev co.Lub IGBT nyob rau hauv module pob yog loj loj, thiab yog hais tias nws yog ntsia nyob rau hauv ib tug tsis tsim nyog txoj kev, nws yog heev yooj yim mus ua kom muaj kev ntxhov siab concentration, ua rau qaug zog tawg ntawm lub sab hauv ntawm lub module.

2) Integrated Circuit Court
Lub tshuab tsis ua haujlwm ntawm kev sib xyaw ua ke thiab kev siv ib puag ncig muaj kev sib raug zoo, kev ya raws hauv qhov chaw ntub dej, kev puas tsuaj los ntawm hluav taws xob zoo li qub lossis hluav taws xob surges, kev siv cov ntawv siab heev thiab kev siv cov kev sib xyaw ua ke hauv ib puag ncig hluav taws xob tsis muaj hluav taws xob. kev tiv thaiv kev tiv thaiv kuj tuaj yeem ua rau tsis ua haujlwm ntawm lub cuab yeej.

Cov teebmeem cuam tshuam txog txhuas: Hauv cov khoom siv hluav taws xob nrog cov khoom siv silicon, SiO2 txheej raws li dielectric zaj duab xis yog dav siv, thiab txhuas feem ntau yog siv los ua cov khoom sib txuas, SiO2 thiab txhuas ntawm qhov kub thiab txias yuav yog cov tshuaj tiv thaiv, yog li ntawd cov txhuas txheej ua nyias, yog tias SiO2 txheej yog depleted vim kev siv tshuaj tiv thaiv, yuav ua rau kev sib cuag ncaj qha ntawm txhuas thiab silicon.Tsis tas li ntawd, cov hlau lead kub thiab aluminium interconnection kab los yog aluminium bonding hlau thiab kev sib txuas ntawm cov hlau lead-plated hlau ntawm lub plhaub plhaub, yuav tsim Au-Al interface hu.Vim tias muaj peev xwm sib txawv ntawm cov hlau no, tom qab siv mus ntev lossis khaws cia ntawm qhov kub siab tshaj 200 ℃ yuav tsim ntau yam intermetallic tebchaw, thiab vim lawv cov lattice tas li thiab thermal expansion coefficients sib txawv, nyob rau hauv cov ntsiab lus sib txuas hauv ib tug loj kev nyuaj siab, lub conductivity ua me me.

Metallization corrosion: Cov kab txuas aluminium ntawm lub nti yog qhov cuam tshuam rau corrosion los ntawm dej vapor nyob rau hauv qhov chaw kub thiab av noo.Vim yog tus nqi offset thiab yooj yim ntau lawm, ntau cov kev sib xyaw ua ke yog encapsulated nrog resin, txawm li cas los xij, dej vapor tuaj yeem dhau los ntawm cov resin kom ncav cuag cov txhuas interconnects, thiab impurities coj los ntawm sab nraud los yog yaj hauv cov resin ua nrog hlau txhuas los ua. corrosion ntawm aluminium interconnects.

Cov nyhuv delamination tshwm sim los ntawm dej vapor: yas IC yog qhov sib xyaw ua ke nrog cov yas thiab lwm cov khoom siv polymer, ntxiv rau cov nyhuv delamination ntawm cov khoom yas thiab cov hlau ncej thiab nti (feem ntau hu ua "popcorn" nyhuv), vim hais tias cov khoom siv resin muaj cov yam ntxwv ntawm adsorption ntawm dej vapor, cov nyhuv delamination tshwm sim los ntawm adsorption ntawm dej vapor yuav ua rau cov cuab yeej ua tsis tiav..Lub tshuab tsis ua haujlwm yog qhov nthuav dav sai ntawm cov dej hauv cov khoom siv yas sealing ntawm qhov kub thiab txias, yog li kev sib cais ntawm cov yas thiab nws cov khoom txuas nrog lwm cov ntaub ntawv, thiab nyob rau hauv cov xwm txheej hnyav, cov yas sealing lub cev yuav tawg.

2.5 Capacitive resistive Cheebtsam
1) Resistor
Common non-winding resistors tuaj yeem muab faib ua plaub hom raws li cov khoom sib txawv siv hauv lub cev resistor, uas yog hom alloy, hom zaj duab xis, hom zaj duab xis tuab thiab hom hluavtaws.Rau kev ruaj khov resistors, hom tsis ua hauj lwm tseem ceeb yog qhib Circuit Court, hluav taws xob parameter drift, thiab lwm yam .;thaum rau potentiometers, lub ntsiab tsis ua hauj lwm hom yog qhib Circuit Court, hluav taws xob parameter drift, suab nrov nce, thiab lwm yam. Kev siv ib puag ncig kuj yuav ua rau resistor aging, uas muaj kev cuam tshuam zoo rau lub neej ntawm cov khoom siv hluav taws xob.

Oxidation: Oxidation ntawm lub cev resistor yuav ua rau kom tus nqi tiv thaiv thiab yog qhov tseem ceeb tshaj plaws uas ua rau muaj kev tiv thaiv kev laus.Tsuas yog lub cev resistor ua los ntawm cov hlau muaj txiaj ntsig thiab cov hlau, tag nrho lwm cov ntaub ntawv yuav raug puas tsuaj los ntawm cov pa oxygen hauv huab cua.Oxidation yog qhov cuam tshuam ntev, thiab thaum lub zog ntawm lwm yam maj mam txo qis, oxidation yuav dhau los ua qhov tseem ceeb, thiab qhov kub thiab txias qhov chaw yuav ua rau kom cov oxidation ntawm cov resistors.Rau precision resistors thiab siab tiv thaiv tus nqi resistors, lub hauv paus ntsuas los tiv thaiv oxidation yog sealing tiv thaiv.Sealing cov ntaub ntawv yuav tsum yog cov ntaub ntawv tsis muaj zog, xws li hlau, ceramic, iav, thiab lwm yam. Cov txheej txheem tiv thaiv organic tsis tuaj yeem tiv thaiv cov dej permeability thiab huab cua permeability, thiab tsuas tuaj yeem ua lub luag haujlwm qeeb hauv oxidation thiab adsorption.

Kev laus ntawm cov binder: Rau cov organic hluavtaws resistors, kev laus ntawm cov organic binder yog qhov tseem ceeb cuam tshuam rau kev ruaj ntseg ntawm cov resistor.Cov organic binder feem ntau yog cov khoom siv hluavtaws, uas tau hloov mus rau hauv cov khoom siv polymerized heev thermosetting polymer los ntawm kev kho cua sov thaum lub sij hawm tsim cov txheej txheem ntawm cov resistor.Qhov tseem ceeb ua rau kev laus ntawm polymer yog oxidation.Cov dawb radicals tsim los ntawm oxidation ua rau lub pob khawm ntawm cov polymer molecular bonds, uas ntxiv kho cov polymer thiab ua rau nws nkig, ua rau poob ntawm elasticity thiab mechanical puas.Lub curing ntawm lub binder ua rau lub resistor poob rau hauv ntim, ua rau lub siab sib cuag ntawm cov conductive hais thiab txo qhov kev sib cuag tsis kam, ua rau ib tug txo nyob rau hauv tsis kam, tab sis cov neeg kho tshuab kev puas tsuaj rau lub binder kuj tsub kom tus kuj.Feem ntau qhov kev kho ntawm cov ntawv khi tau tshwm sim ua ntej, kev puas tsuaj tshwm sim tom qab, yog li tus nqi ntawm cov organic hluavtaws resistors qhia cov qauv hauv qab no: qee qhov kev poob qis thaum pib ntawm theem, tom qab ntawd tig mus rau nce, thiab muaj qhov sib txawv ntawm qhov nce.Txij li kev laus ntawm cov polymers yog ze ze rau qhov kub thiab txias, cov khoom siv hluavtaws yuav ua kom cov laus nyob hauv qhov kub thiab txias thiab muaj zog lub teeb raug.

Kev laus nyob rau hauv hluav taws xob load: Siv lub load rau lub resistor yuav ua rau nws cov txheej txheem kev laus.Nyob rau hauv DC load, electrolytic kev txiav txim yuav ua rau nyias zaj duab xis resistors.Electrolysis tshwm sim nyob rau hauv nruab nrab ntawm lub slotted resistor, thiab yog hais tias tus resistor substrate yog ib tug ceramic los yog iav cov ntaub ntawv uas muaj alkali hlau ions, cov ions txav nyob rau hauv qhov kev txiav txim ntawm hluav taws xob teb ntawm lub slots.Nyob rau hauv ib qho chaw ntub dej, qhov txheej txheem no ua nruj dua.

2) Capacitor
Cov hom tsis ua hauj lwm ntawm cov capacitors yog luv luv, qhib Circuit Court, degradation ntawm hluav taws xob tsis zoo (nrog rau kev hloov ntawm lub peev xwm, nce ntawm lub kaum sab xis poob thiab txo cov rwb thaiv tsev tsis kam), kua to thiab lead corrosion breakage.

luv luv Circuit Court: Lub ya arc ntawm ntug ntawm ncej ntawm qhov kub thiab txias tsis tshua muaj siab yuav ua rau luv luv Circuit Court ntawm capacitors, nyob rau hauv tas li ntawd, cov neeg kho tshuab kev nyuaj siab xws li sab nraud shock yuav ua rau luv luv Circuit Court ntawm dielectric.

Qhib Circuit Court: Oxidation ntawm cov hlau lead hlau thiab electrode tiv tauj los ntawm cov av noo thiab kub ib puag ncig, uas ua rau tsis tshua muaj kev nkag mus tsis tau thiab corrosion puas ntawm anode txhuas ntawv ci.
Kev puas tsuaj ntawm cov hluav taws xob tsis zoo: Kev puas tsuaj ntawm cov hluav taws xob tsis zoo vim qhov cuam tshuam ntawm qhov chaw ntub dej.

2.6 Board-theem circuitry
Printed Circuit Board yog tsim los ntawm insulating substrate, hlau thaiv thiab txuas sib txawv txheej ntawm cov xov hlau, solder Cheebtsam "pads".Nws lub luag haujlwm tseem ceeb yog muab cov khoom thauj khoom rau cov khoom siv hluav taws xob, thiab ua si lub luag haujlwm ntawm cov khoom siv hluav taws xob thiab cov khoom siv sib txuas.

Qhov tsis ua hauj lwm ntawm lub rooj tsavxwm luam tawm feem ntau suav nrog cov tsis zoo soldering, qhib thiab luv Circuit Court, blistering, tawg board delamination, board nto corrosion los yog discoloration, board dabtsi yog khoov.


Post lub sij hawm: Nov-21-2022